• News
  •  > Article

    【缺口】大陆封测厂前十大占比创新高,成长显著Release time:2018-06-20

    1.晶圆供需缺口扩大,IC封测厂商业绩压力山大;
    集微网消息,在移动电子产品高潮迭起的时代,封测企业也随之崛起。迫于电子产品市场更新速度较快的压力,对于封测质量以及产量要求也在不断提升。
    据TrendForce旗下拓墣产业研究院预估,前十大封测代工厂2018年上半年营收估达111.2亿美元,年增10.5%,低于去年同期16.4%。

    长电科技、天水华天、通富微电占比创新高

    其中,长电科技、天水华天、通富微电营收皆有双位数成长,这三家厂商占前十大封测代工厂总营收比重达26.9%,创历年新高。
    在全球前十大IC封测代工厂商排名方面,今年上半年排名未见变动,依序为日月光投控旗下日月光、艾克尔、江苏长电,日月光投控旗下硅品、力成、天水华天、通富微电、联测、京元电及南茂。
    这一排名与2017年相比并无太大变化,其中仅有联测上升一位,位列第八,京元电下降一位,位列第九。
    营收成长率上,长电科技、天水华天及通富微电在并购整合告一段落后,上半年营收分别年增18.7%、40.9%、17.2%,均缴出双位数成长的佳绩。
    拓墣产业研究院指出,上半年受高端智能手机成长趋缓与晶圆涨价影响,除了封测代工领域年增率不如去年同期,全球IC封测业也受影响,预估产值为251.5亿美元,年增1.4%,较去年同期9.1%显著下滑。

    国内IC封测步入发展快车道

    随着近年来物联网、大数据、智能终端等新兴产业的快速崛起,我国集成电路封测业开始从此前的中低端封装领域向高端先进封装技术迈进。研发和布局先进封装市场也成为了长电、华天和通富微电等封测企业营收增长的重要推动力。
    此前拓墣产业研究院表示,由于全球产业整合及竞争加剧,中国IC封测厂商发展重点将从海外并购取得高端Resident Evil封装技术及市占率,转而着力于开发Fan-Out及SiP等先进封装技术。
    根据中国晶圆厂产能规划,估计2018年底前中国12英寸晶圆每月产能实际上新增约16.2万片,届时中国总月产能为原产能20万片的1.8倍,这些产能的提升将进一步成为中国封测业2018年成长的重要驱动力。
    而中国IC封测产业可望成长的主因包括:
    2018年以智能手机等通讯为主的中国半导体内需市场可望年成长6.5%。
    国内封测业者持续扩充产能,且江苏长电、南通华达微电子等本土业者因获官方通过半导体大基金等方式扶植,其扩产相对外资企业积极。
    国内本土封测业者藉由购并等方式获得技术,如江苏长电购并新加坡星科金朋(STATS ChipPAC)、南通华达微电子购并AMD槟城厂与苏州厂等,成中国IC封测产业成长动能之一。
    拓墣产业研究院还指出,虽然市场普遍看好汽车、5G、人工智能(AI)等题材,但技术仍在应用导入阶段,对现阶段封测业产值带动有限。且因处于产业价值链较弱势环节,面临智能手机成长趋缓、硅晶圆涨价导致成本上扬,多数业者首季毛利率表现均不如去年同期。
    展望未来,拓墣产业研究院认为,下半年虽进入传统销售旺季,但随着晶圆供需缺口扩大,晶圆制造成本持续上升,封测业面临的毛利率压力可能将持续到年底。(校对/范蓉)
     
    2.“强人时代”落幕,台湾半导体还能再造一个台积电吗?
    对于台湾乃至全球半导体产业来说,张忠谋是一个有分量的名字,在他执掌台积电的三十年间,这家企业从一家默默无闻、不被看好的公司,成长为全球顶尖的晶圆代工巨擘。
    2017财年,台积电实现营收330亿美元(约合2087亿元人民币),净利润接近800亿元人民币,其市值高达2200亿美元,超过英特尔成为全球最大的半导体公司。“晶圆代工”模式的成功更是让张忠谋有了“半导体教父”的美誉。
    某种程度上,张忠谋创办的台积电不但创造了自己的产业(半导体制造代工业),也创造了客户的产业(半导体设计产业)。正是因为产业分工渐成气候,无晶圆厂IC设计公司(fabless)不断涌现,从而造就了高通、英伟达、联发科、博通等一众知名半导体设计厂商。
    英伟达创始人兼首席执行官黄仁勋就曾经公开表达对张忠谋的感谢,“如果没有台积电,自己只是一家小公司的老板”。
    有人说,上世纪70年代是美国半导体产业的黄金时代,80年代是日本半导体走向高峰的时代,90年代是韩国半导崛起的时代,那么,00年代则是在晶圆厂支撑下,中国台湾半导体产业异军突起的时代。
    在以张忠谋为代表的“强人时代”,台湾半导体人才辈出,其中包括联发科创始人蔡明介、世界先进前董事长章青驹、创惟科技董事长王国肇、华邦电子创办人杨丁元。产业的发展也进入了快车道。从 IC 设计公司联发科、IC 制造的台积电,到 IC 封测公司日月光组成了最强阵容。
    而在目前台湾的上千家上市公司中,有将近半数比例的厂商从事着与电子产业相关的产品,是名副其实的“电子宝岛”,而这些电子公司大致可以分为两类,一类是上游半导体公司,包括材料、设备、设计、制造与封测;还有一类是电脑与手机代工厂商及配套零组件公司。
    不过伴随着张忠谋的退休,业内对于台湾半导体的前景表达了自身的担忧。即便是张忠谋本人,也承认全球半导体投资高峰已经过去,“半导体的快速成长期已经过去,从1952年到2000年,长达48年的时间,每年平均复合增长率16%,那是很高的数字。2000年以后,大概剩4%到5%,未来10年,我认为也会是4%到5%。”
    在这样的环境下,更加考验企业的内功。
    半导体产业可以模拟成跑步,每个参赛者实际上都是做着类似的动作,只是有人适合长跑,有人适合短跑。台湾企业所面临的挑战有来自于外部的,也有来自于内部的,有产业层面的,也有技术层面的。对于下一个十年是否会在台湾,再一次诞生像台积电这样的半导体巨头,回答显然是很难。
    从外部挑战来看,集邦拓墣产业研究院研究经理林建宏认为,台湾在成熟制程节点的制造市占份额将逐步下滑。而内部挑战则来自于土地、能源、教育政策、薪资水平将降低制造封测再投入的力道。“在PC与智能手机成长趋缓下,市场规模对半导体制造业的推动力道下滑,而在AI、5G、自驾车等议题上,将出现新的市场规模,如在竞争中获得客户青睐,将是台湾半导体制造业能否持续成长的关键。”
    更重要的是,技术的大爆炸正在改写半导体行业的格局,站在山顶的科技巨头比以往任何时候的感觉都更为强烈。以高通为例。虽然在移动时代打败英特尔等芯片巨头成为市场上的新秀,但在人工智能、5G未成熟前,对华尔街的狙击却显得有些力不从心。此前博通的收购要约虽然未能成行,但可以看到,即便是排名靠前的半导体企业,也无法保证自己是否会成为变革中的“牺牲品”。
    而新的市场需求也在刺激新的公司出现。龙头企业为实现规模经济和降低成本,会持续开展出于战略整合目的的国际并购。同时,随着产业进入后摩尔时代,企业也会加快布局新兴市场,细分领域竞争格局加快重塑,围绕物联网、汽车电子、数据中心、人工智能等领域的并购将会日趋活跃。
    对于中国台湾来说,以IC设计为例,虽然是全球第二大IC设计地区,仅次于美国,其实差距仍然很大,并且并购风潮并不盛行。
    这也许和台湾企业主总有“宁为鸡首、不为牛后”的心理有关。台湾半导体业曾有很强的优势,但没有把握时机整并改造,如今许多公司规模都很小,国际布局不足,只能在细分市场竞争。
    林建宏认为,半导体产业是高度规模经济的产业,晶圆代工能蓬勃发展与台湾在存储器产业的挫败皆受到规模经济的影响。台湾在整体半导体发展上受限于资源有限,必须将有限的资源投注在特定项目上,因而导致在包含关键IP/EDA/材料/设备领域的投资较为缺乏,成为台湾半导体发展中较薄弱的环节。第一财经
    3.大陆封测厂成长显著,台厂积极应战各自出招;
    面对大陆势力扩张,日月光、京元电、矽格等台湾封测厂积极应战。因应异质芯片整合需求强劲,日月光加速系统级封测布局;京元电则朝提升自主晶圆测试机台开创利基;矽格也加速整并,并拉升高功率和电源芯片产能,避开与对岸业者竞争 。
    大陆官方积极扶植半导体产业,同步推升当地晶圆制程和后段封测需求,带动当地主要封测厂成长显著,不过,全球半导体封测龙头日月光认为,两岸封测厂未来仍会朝向“既竞争、又整合”的阶段,大陆发展半导体产业仍得借助台湾封测厂力量。
    例如近期紫光集团即透过入股南茂和矽品子公司,未来可能也会与力成和华东等,形成存储器大联盟,日月光不排除加入合作行列。
    面对大陆封测厂透过整并扩充产能, 在中低阶产品掀起价格战,日月光和矽品透过整并,扩大高阶封测领先优势,并积极透过异质芯片整合,加速系统级封测布局。
    日月光表示,整并矽品后,双方在高阶封测将拉大竞争优势。日月光透过和国外大厂技术结盟,与日商TDK成立的日月旸电子透过TDK授权的技术,生产IC用的内埋式基板,有助抢食更多系统级封装商机,并让台湾半导体供应链更完整。
    京元电稍早宣布兴建苗栗铜锣三厂,同时也扩充大陆产能并提升自主研发测试机台比重,希望在下一波第五代行动通讯(5G)、人工智能(AI)、智能车和物联网,带动高速运算芯片、物联网、微机电、行动通讯、电竞和车用电子等相关芯片产品测试业务,抢得先机。
    矽格则借由加速整并,包括并购诚远和台星科等同业,扩大客户层及提升在射频元件、功率半导体和电源管理芯片等封测规模,开创利基市场。经济日报
    4.外资:5大商机带动台积电7纳米市占明年大增277%;
    晶圆代工二哥联电昨(13)日强奔涨停,带动半导体族群士气。德意志、里昂、瑞信证券、元大投顾等全面瞄准最大权值股台积电,德意志并剖析台积电关键7纳米制程5大商机爆发,8大客户订单涌现,激励7纳米制程营收明年大增277%。
    激发本波晶圆代工热潮的联电,最早是由瑞银证券亚太区半导体首席分析师吕家璈提出大转机。他最新观点是,整体半导体产业近年变化极大,不但竞争版图改变,智能机、车用、人工智能(AI)、物联网(IoT)如雨后春笋,晶圆代工厂产能吃紧,议价能力提升,产业结构已经转佳,不能只以短线涨价商机视之。
    有趣的是,原本产业都是由龙头一哥带动其余个股攻坚,这次反其道而行,由联电带动台积电翻红、世界先进上涨,提供法人另类思考角度。外资昨买超台积电7,264张,高居排行榜第三,也终止连续3个交易日卖超权值王。
    联电昨日爆出逾35万张大量,以涨停收在18.5元;台积电上涨1.31%,收232元。
    德意志证券半导体产业分析师周立中指出,5大商机带动台积电7纳米市占扩张,包括:智能型手机晶片(苹果、高通、海思半导体),网路晶片(博通、联发科),电竞GPU(Nvidia、AMD),可程式化逻辑元件FPGA(赛灵思),加密货币ASIC等。
    在8大客户加持下,德意志估计,台积电7纳米制程营收明年将大增近3倍,占整体营收比重从今年的1成不到,拉升至29%。同时,台积电在整体晶圆代工领域中的营收占比,也会由56%增加至6成。
    元大投顾台湾区研究部主管张家麒表示,以全球IC设计公司存货周转天数来看,半导体产业状况已见好转迹象,各公司也逐渐步入旺季,迎接强劲终端需求,整体产业今年仍持续成长,现在就看国际资金回流态度。
    里昂证券半导体产业分析师侯明孝指出,根据最近与亚洲机构法人客户对谈,发现客户对加密货币有所疑虑。然这是因为比特币挖矿用ASIC,第二、三季正从16纳米转换到7纳米制程,台积电长线营运动能依旧看俏,是半导体族群买进首选,推测合理股价估值285元。
    不只里昂,台积电更是瑞信亚太(不含日本)最关注股票名单之一,瑞信赋予270元推测合理股价,并看好台积电夺下高通骁龙400系列晶片所带来的贡献,估今年营收成长将近1成。工商时报
     
    5.报告料全球半导体业设备支出将连续3年破顶;
    国际半导体产业协会(SEMI)发表报告,预计全球半导体业设备支出将连续3年创新高,今明两年按年增长率分别为14%及9%。若最终一如预测,全球半导体业设备支出将连升4年,为1990年代中期以来首次。
    报告指,中国及韩国带领有关升势,其中韩国SK海力士增加设备开支,但三星则会减少在韩国的相关投资。中国方面,今明两年设备支出将分别按年增长65%及57%,今明两年将分别有58%及56%支出由外资包揽,包括英特尔、SK海力士,台积电、三星及格罗方德(GLOBALFOUNDRIES)等。
    日本今年的相关设备支出亦会增长60%,主要来自东芝、索尼、瑞萨(Renesas)及美光(Micron)。SEMI
    6.8吋晶圆酝酿涨价 联电、世界先进股价联袂上攻;
    由于法人圈传出8吋晶圆产能满载,将调涨代工报价,联电(2303)及世界先进(5347)联袂大涨,联电开高走高、直攻涨停,成交量一举放大至30万张以上,世界先进盘中一度至72.7元,挑战前波高点,涨幅达8.18%。
    法人圈指出,由于8吋晶圆代工产能,受惠于受到MOSFET(金属氧化物半导体场效电晶体)、电源管理IC及车用晶片需求大增,加上全球晶圆代工厂扩产主要以12吋先进制程为主,8吋设备昂贵,不符合投资效益,全球晶圆代工厂并没有大幅度扩产的计划,在总体需求持续增加,导致供需状况将更加紧俏,第2季末酝酿调涨报价。
    此外,外商IDM大厂电源管理及车用订单将大量开出,且此一荣景将一路延续至2019年,且业内预期未来IDM大厂释单趋势只会增加不会减少,主要因外商进行产品组合优化,持续将中阶毛利订单释出给专业代工厂生产,企业集中资源专注于车用、工控等利基型产品研发,降低生产成本及费用,联电及世界先进持续受惠此一趋势,下半年营运一路畅旺。经济日报
    7.提升芯片效能 应用材料推钴金属 导入7nm制程;
    随着人工智能(AI)世代到来,高效运算芯片需求量将不断成长,但目前半导体原物料钨跟铜已面临物理极限,全球最大半导体设备厂应用材料宣布,推出钴金属作为7纳米制程以下的导电材料,提升芯片效能。
    人工智能以及大数据时代来临,芯片也必须透过不断微缩提升效能,如何在10纳米制程以下生产出效能更高、耗电更少、面积更小及低成本的芯片成为当前半导体制程上的主要课题。
    应用材料解释,在PC时代,芯片的电晶体结构以平面为主,少见整合型的材料,并使用微影进行芯片微缩,如此就能让芯片效能提升及耗电更少等要求,不过随着时代进展到行动通讯等,客户开始部属自对准图案画、自对准四重图案画,以及运用极紫外光(EUV)继续微缩,芯片效能增益开始减缓,成本效率也降低。
    伴随摩尔定律的步调下,现在最先进的半导体制程已经进入到7纳米等级,不过在芯片不断微缩,部分导电材料如钨与铜在10纳米制程以下已经无法顺利微缩,应用材料解释,因为其电性在电晶体接点与局部终端金属导线制程已经逼近物理极限,原来的钨与铜再也无法导入成为接口,这也成为鳍式电晶体(FinFET)无法完全发挥效能的瓶颈。
    应用材料指出,钴金属正可以消除该项瓶颈,以钴金属为导体的技术转折,带动摩尔定律持续往下走,目前钴已经通过验证能适用于大量制造,钴在窄线距所显现的低电阻特性有效改善功耗,让材料工程能持续微缩,且应用材料提供的高度优化沉积、回火、平坦化制程技术可降低成本及改善良率。
    事实上,应用材料与台积电合作关系紧密,目前台积电先进制程的关键设备及材料上几乎都由应用材料供应,因此随着台积电制程不断微缩,应用材料也将可望持续受惠。工商时报

    previous:

    Next: